Tuesday 2 August 2016

Demux

Demux:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.itobpack.all;
--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;

entity demux is
    Port ( a : in integer range 0 to 255;
           y : out std_logic_vector(7 downto 0));
end demux;

architecture Behavioral of demux is

begin
process(a)
begin
y<=itob(a);
end process;


end Behavioral;

0 comments:

Post a Comment

if you have any doubt please let me know